[ DevCourseWeb.com ] Udemy - Embedded System Design with Microblaze and Vitis IDE

File Type Create Time File Size Seeders Leechers Updated
Movie 2021-12-29 3.44GB 0 3 4 weeks ago
Download
Magnet link   or   Save Instantly without Torrenting   or   Torrent download

To download this file, you need a free bitTorrent client such as qBittorrent.

Report Abuse
Tags
DevCourseWeb  com  Udemy  Embedded  System  Design  with  Microblaze  and  Vitis  IDE  
Related Torrents
  1. [ DevCourseWeb.com ] Udemy - Understanding System Design And Interviewing 184.51MB
  2. [ DevCourseWeb.com ] Udemy - Mastering Business Innovation with ChatGPT and GPTs,AI tools 826.50MB
  3. [ DevCourseWeb.com ] Udemy - Azure Data Engineering with ADF, ADB, Synapse and PowerBI 1.62GB
  4. [ DevCourseWeb.com ] Udemy - Mule 4 - Design API with RAML 1.0 1.73GB
  5. [ DevCourseWeb.com ] Udemy - Single-Page Application with ASP.NET and jQuery Hands-On 1.52GB
  6. [ DevCourseWeb.com ] Udemy - 10X Your Results With 25 Advanced Google Ads Tips and Tricks 1.30GB
  7. [ DevCourseWeb.com ] Udemy - Architecture Portfolio Design - Crafting Your Identity 601.01MB
  8. [ DevCourseWeb.com ] Udemy - Control Your Career - Resume, LinkedIn, and Job Search 1.20GB
  9. [ DevCourseWeb.com ] Udemy - Upskill Yourself By Learning Cad And Tinkercad For Students 2.81GB
  10. [ DevCourseWeb.com ] Udemy - Angular 17, Python Fast API and MySQL Full-Stack App 307.09MB
Files
  1. Get Bonus Downloads Here.url 182B
  2. ~Get Your Files Here !/01 - Getting Started/001 Agenda.mp4 2.93MB
  3. ~Get Your Files Here !/01 - Getting Started/001 Agenda_en.srt 1.23KB
  4. ~Get Your Files Here !/01 - Getting Started/002 Design Flow Steps P1.mp4 80.62MB
  5. ~Get Your Files Here !/01 - Getting Started/002 Design Flow Steps P1_en.srt 17.63KB
  6. ~Get Your Files Here !/01 - Getting Started/003 Design Flow Steps P2.mp4 13.66MB
  7. ~Get Your Files Here !/01 - Getting Started/003 Design Flow Steps P2_en.srt 2.63KB
  8. ~Get Your Files Here !/01 - Getting Started/004 Design Flow Steps P3.mp4 47.58MB
  9. ~Get Your Files Here !/01 - Getting Started/004 Design Flow Steps P3_en.srt 9.51KB
  10. ~Get Your Files Here !/01 - Getting Started/005 Fundamentals Project Types.mp4 14.92MB
  11. ~Get Your Files Here !/01 - Getting Started/005 Fundamentals Project Types_en.srt 5.12KB
  12. ~Get Your Files Here !/01 - Getting Started/006 Fundamentals P2 Flow Navigator.mp4 45.60MB
  13. ~Get Your Files Here !/01 - Getting Started/006 Fundamentals P2 Flow Navigator_en.srt 11.92KB
  14. ~Get Your Files Here !/01 - Getting Started/007 Fundamentals P3 Useful files in Project Directory.mp4 21.12MB
  15. ~Get Your Files Here !/01 - Getting Started/007 Fundamentals P3 Useful files in Project Directory_en.srt 4.93KB
  16. ~Get Your Files Here !/01 - Getting Started/008 Fundamentals P3 How to update Hardware Platform P1.mp4 15.42MB
  17. ~Get Your Files Here !/01 - Getting Started/008 Fundamentals P3 How to update Hardware Platform P1_en.srt 2.29KB
  18. ~Get Your Files Here !/01 - Getting Started/009 Fundamentals P3 How to update Hardware Platform P2.mp4 14.44MB
  19. ~Get Your Files Here !/01 - Getting Started/009 Fundamentals P3 How to update Hardware Platform P2_en.srt 2.56KB
  20. ~Get Your Files Here !/01 - Getting Started/010 TCL Script for automation.mp4 71.81MB
  21. ~Get Your Files Here !/01 - Getting Started/010 TCL Script for automation_en.srt 12.36KB
  22. ~Get Your Files Here !/01 - Getting Started/011 Different Reporting Mechanism.mp4 69.27MB
  23. ~Get Your Files Here !/01 - Getting Started/011 Different Reporting Mechanism_en.srt 13.46KB
  24. ~Get Your Files Here !/02 - Simple Peripherals/001 Agenda.mp4 6.84MB
  25. ~Get Your Files Here !/02 - Simple Peripherals/001 Agenda_en.srt 2.38KB
  26. ~Get Your Files Here !/02 - Simple Peripherals/002 Fundamentals of XIL Drivers P1.mp4 8.09MB
  27. ~Get Your Files Here !/02 - Simple Peripherals/002 Fundamentals of XIL Drivers P1_en.srt 5.48KB
  28. ~Get Your Files Here !/02 - Simple Peripherals/003 Fundamentals of XIL Drivers P2.mp4 27.67MB
  29. ~Get Your Files Here !/02 - Simple Peripherals/003 Fundamentals of XIL Drivers P2_en.srt 10.71KB
  30. ~Get Your Files Here !/02 - Simple Peripherals/004 Fundamentals of XIL Drivers P3.mp4 16.28MB
  31. ~Get Your Files Here !/02 - Simple Peripherals/004 Fundamentals of XIL Drivers P3_en.srt 5.10KB
  32. ~Get Your Files Here !/02 - Simple Peripherals/005 Writing Data to GPIO P1.mp4 27.84MB
  33. ~Get Your Files Here !/02 - Simple Peripherals/005 Writing Data to GPIO P1_en.srt 5.16KB
  34. ~Get Your Files Here !/02 - Simple Peripherals/006 Writing Data to GPIO P2.mp4 6.53MB
  35. ~Get Your Files Here !/02 - Simple Peripherals/006 Writing Data to GPIO P2_en.srt 1.09KB
  36. ~Get Your Files Here !/02 - Simple Peripherals/007 Writing Data to GPIO P3.mp4 117.55MB
  37. ~Get Your Files Here !/02 - Simple Peripherals/007 Writing Data to GPIO P3_en.srt 18.64KB
  38. ~Get Your Files Here !/02 - Simple Peripherals/008 Code.html 841B
  39. ~Get Your Files Here !/02 - Simple Peripherals/009 Reading Data from GPIO P1.mp4 11.96MB
  40. ~Get Your Files Here !/02 - Simple Peripherals/009 Reading Data from GPIO P1_en.srt 2.06KB
  41. ~Get Your Files Here !/02 - Simple Peripherals/010 Reading Data from GPIO P2.mp4 45.59MB
  42. ~Get Your Files Here !/02 - Simple Peripherals/010 Reading Data from GPIO P2_en.srt 7.93KB
  43. ~Get Your Files Here !/02 - Simple Peripherals/011 Code.html 833B
  44. ~Get Your Files Here !/02 - Simple Peripherals/012 Using Dual Channel of GPIO P1.mp4 21.82MB
  45. ~Get Your Files Here !/02 - Simple Peripherals/012 Using Dual Channel of GPIO P1_en.srt 4.45KB
  46. ~Get Your Files Here !/02 - Simple Peripherals/013 Using Dual Channel of GPIO P2.mp4 112.13MB
  47. ~Get Your Files Here !/02 - Simple Peripherals/013 Using Dual Channel of GPIO P2_en.srt 19.43KB
  48. ~Get Your Files Here !/02 - Simple Peripherals/014 Code.html 924B
  49. ~Get Your Files Here !/02 - Simple Peripherals/015 Multiple Instances of GPIO P1.mp4 23.91MB
  50. ~Get Your Files Here !/02 - Simple Peripherals/015 Multiple Instances of GPIO P1_en.srt 4.34KB
  51. ~Get Your Files Here !/02 - Simple Peripherals/016 Multiple Instances of GPIO P2.mp4 106.37MB
  52. ~Get Your Files Here !/02 - Simple Peripherals/016 Multiple Instances of GPIO P2_en.srt 16.30KB
  53. ~Get Your Files Here !/02 - Simple Peripherals/017 Code.html 1.07KB
  54. ~Get Your Files Here !/03 - Intermediate Peripherals/001 Agenda.mp4 7.46MB
  55. ~Get Your Files Here !/03 - Intermediate Peripherals/001 Agenda_en.srt 2.90KB
  56. ~Get Your Files Here !/03 - Intermediate Peripherals/002 Sending Data to UART P1.mp4 11.85MB
  57. ~Get Your Files Here !/03 - Intermediate Peripherals/002 Sending Data to UART P1_en.srt 2.69KB
  58. ~Get Your Files Here !/03 - Intermediate Peripherals/003 Sending Data to UART P2.mp4 136.49MB
  59. ~Get Your Files Here !/03 - Intermediate Peripherals/003 Sending Data to UART P2_en.srt 23.87KB
  60. ~Get Your Files Here !/03 - Intermediate Peripherals/004 Code.html 1.05KB
  61. ~Get Your Files Here !/03 - Intermediate Peripherals/005 Receiving Data from UART.mp4 60.99MB
  62. ~Get Your Files Here !/03 - Intermediate Peripherals/005 Receiving Data from UART_en.srt 10.01KB
  63. ~Get Your Files Here !/03 - Intermediate Peripherals/006 Code.html 1.21KB
  64. ~Get Your Files Here !/03 - Intermediate Peripherals/007 Multiple Instance of UARTLITE P1.mp4 26.96MB
  65. ~Get Your Files Here !/03 - Intermediate Peripherals/007 Multiple Instance of UARTLITE P1_en.srt 5.39KB
  66. ~Get Your Files Here !/03 - Intermediate Peripherals/008 Multiple Instance of UARTLITE P2.mp4 91.22MB
  67. ~Get Your Files Here !/03 - Intermediate Peripherals/008 Multiple Instance of UARTLITE P2_en.srt 14.78KB
  68. ~Get Your Files Here !/03 - Intermediate Peripherals/009 Code.html 2.08KB
  69. ~Get Your Files Here !/04 - Working with Timers/001 Agenda.mp4 1.51MB
  70. ~Get Your Files Here !/04 - Working with Timers/001 Agenda_en.srt 756B
  71. ~Get Your Files Here !/04 - Working with Timers/002 Using AXI Timer P1.mp4 22.77MB
  72. ~Get Your Files Here !/04 - Working with Timers/002 Using AXI Timer P1_en.srt 4.64KB
  73. ~Get Your Files Here !/04 - Working with Timers/003 Using AXI Timer P2.mp4 178.48MB
  74. ~Get Your Files Here !/04 - Working with Timers/003 Using AXI Timer P2_en.srt 27.98KB
  75. ~Get Your Files Here !/04 - Working with Timers/004 Code.html 996B
  76. ~Get Your Files Here !/04 - Working with Timers/005 How we observe the Current Count Value.mp4 37.10MB
  77. ~Get Your Files Here !/04 - Working with Timers/005 How we observe the Current Count Value_en.srt 5.91KB
  78. ~Get Your Files Here !/04 - Working with Timers/006 Using Auto Reload Mode.mp4 52.10MB
  79. ~Get Your Files Here !/04 - Working with Timers/006 Using Auto Reload Mode_en.srt 7.98KB
  80. ~Get Your Files Here !/04 - Working with Timers/007 Code.html 2.17KB
  81. ~Get Your Files Here !/04 - Working with Timers/008 Using both Timers.mp4 68.98MB
  82. ~Get Your Files Here !/04 - Working with Timers/008 Using both Timers_en.srt 12.58KB
  83. ~Get Your Files Here !/04 - Working with Timers/009 Code.html 1.40KB
  84. ~Get Your Files Here !/04 - Working with Timers/010 Using PWM 50% Duty Cycle P1.mp4 43.32MB
  85. ~Get Your Files Here !/04 - Working with Timers/010 Using PWM 50% Duty Cycle P1_en.srt 7.60KB
  86. ~Get Your Files Here !/04 - Working with Timers/011 Using PWM 50% Duty Cycle P2.mp4 18.44MB
  87. ~Get Your Files Here !/04 - Working with Timers/011 Using PWM 50% Duty Cycle P2_en.srt 3.29KB
  88. ~Get Your Files Here !/04 - Working with Timers/012 Using PWM 50% Duty Cycle P3.mp4 121.93MB
  89. ~Get Your Files Here !/04 - Working with Timers/012 Using PWM 50% Duty Cycle P3_en.srt 20.81KB
  90. ~Get Your Files Here !/04 - Working with Timers/013 Code.html 845B
  91. ~Get Your Files Here !/04 - Working with Timers/014 Varying Duty Cycle.mp4 42.02MB
  92. ~Get Your Files Here !/04 - Working with Timers/014 Varying Duty Cycle_en.srt 7.55KB
  93. ~Get Your Files Here !/04 - Working with Timers/015 Code.html 942B
  94. ~Get Your Files Here !/04 - Working with Timers/016 Fading Effect.mp4 40.97MB
  95. ~Get Your Files Here !/04 - Working with Timers/016 Fading Effect_en.srt 6.74KB
  96. ~Get Your Files Here !/04 - Working with Timers/017 Code.html 1.40KB
  97. ~Get Your Files Here !/04 - Working with Timers/018 AXI Timebase Watchdog Timer P1.mp4 28.57MB
  98. ~Get Your Files Here !/04 - Working with Timers/018 AXI Timebase Watchdog Timer P1_en.srt 4.85KB
  99. ~Get Your Files Here !/04 - Working with Timers/019 AXI Timebase Watchdog Timer P2.mp4 52.50MB
  100. ~Get Your Files Here !/04 - Working with Timers/019 AXI Timebase Watchdog Timer P2_en.srt 8.39KB
  101. ~Get Your Files Here !/04 - Working with Timers/020 Code.html 930B
  102. ~Get Your Files Here !/04 - Working with Timers/021 Mulitple Event with WDT.mp4 23.89MB
  103. ~Get Your Files Here !/04 - Working with Timers/021 Mulitple Event with WDT_en.srt 3.45KB
  104. ~Get Your Files Here !/04 - Working with Timers/022 Code.html 986B
  105. ~Get Your Files Here !/05 - Profiling/001 Agenda.mp4 1.92MB
  106. ~Get Your Files Here !/05 - Profiling/001 Agenda_en.srt 1.44KB
  107. ~Get Your Files Here !/05 - Profiling/002 Profile with AXI Timer P1.mp4 11.19MB
  108. ~Get Your Files Here !/05 - Profiling/002 Profile with AXI Timer P1_en.srt 1.78KB
  109. ~Get Your Files Here !/05 - Profiling/003 Profile with AXI Timer P2.mp4 57.84MB
  110. ~Get Your Files Here !/05 - Profiling/003 Profile with AXI Timer P2_en.srt 10.78KB
  111. ~Get Your Files Here !/05 - Profiling/004 SDK Profiler P1.mp4 24.45MB
  112. ~Get Your Files Here !/05 - Profiling/004 SDK Profiler P1_en.srt 5.17KB
  113. ~Get Your Files Here !/05 - Profiling/005 SDK Profiler P2.mp4 68.52MB
  114. ~Get Your Files Here !/05 - Profiling/005 SDK Profiler P2_en.srt 12.53KB
  115. ~Get Your Files Here !/05 - Profiling/006 Code.html 1.02KB
  116. ~Get Your Files Here !/06 - Memory Resources/001 Understanding Byte Addressable and Word Addressable.mp4 24.43MB
  117. ~Get Your Files Here !/06 - Memory Resources/001 Understanding Byte Addressable and Word Addressable_en.srt 11.27KB
  118. ~Get Your Files Here !/06 - Memory Resources/002 Adding BRAM IP to Block Design.mp4 21.21MB
  119. ~Get Your Files Here !/06 - Memory Resources/002 Adding BRAM IP to Block Design_en.srt 4.15KB
  120. ~Get Your Files Here !/06 - Memory Resources/003 BRAM Transactions using pointers.mp4 95.33MB
  121. ~Get Your Files Here !/06 - Memory Resources/003 BRAM Transactions using pointers_en.srt 17.67KB
  122. ~Get Your Files Here !/06 - Memory Resources/004 BRAM Transactions using XIL_IO Drivers.mp4 61.56MB
  123. ~Get Your Files Here !/06 - Memory Resources/004 BRAM Transactions using XIL_IO Drivers_en.srt 8.74KB
  124. ~Get Your Files Here !/06 - Memory Resources/005 Code.html 1.60KB
  125. ~Get Your Files Here !/07 - Debugging Technique/001 Hardware Debugging Integrated Logic Analyzer P1.mp4 38.18MB
  126. ~Get Your Files Here !/07 - Debugging Technique/001 Hardware Debugging Integrated Logic Analyzer P1_en.srt 7.81KB
  127. ~Get Your Files Here !/07 - Debugging Technique/002 Hardware Debugging Integrated Logic Analyzer P2.mp4 82.21MB
  128. ~Get Your Files Here !/07 - Debugging Technique/002 Hardware Debugging Integrated Logic Analyzer P2_en.srt 14.54KB
  129. ~Get Your Files Here !/07 - Debugging Technique/003 Hardware Debugging Integrated Logic Analyzer P3.mp4 8.09MB
  130. ~Get Your Files Here !/07 - Debugging Technique/003 Hardware Debugging Integrated Logic Analyzer P3_en.srt 1.96KB
  131. ~Get Your Files Here !/07 - Debugging Technique/004 Software Debugging Serial Window.mp4 26.41MB
  132. ~Get Your Files Here !/07 - Debugging Technique/004 Software Debugging Serial Window_en.srt 5.24KB
  133. ~Get Your Files Here !/08 - Interrupts/001 Agenda.mp4 1.93MB
  134. ~Get Your Files Here !/08 - Interrupts/001 Agenda_en.srt 1.25KB
  135. ~Get Your Files Here !/08 - Interrupts/002 Interrupt with GPIO P1.mp4 29.65MB
  136. ~Get Your Files Here !/08 - Interrupts/002 Interrupt with GPIO P1_en.srt 5.74KB
  137. ~Get Your Files Here !/08 - Interrupts/003 Interrupt with GPIO P2.mp4 227.09MB
  138. ~Get Your Files Here !/08 - Interrupts/003 Interrupt with GPIO P2_en.srt 34.43KB
  139. ~Get Your Files Here !/08 - Interrupts/004 Code.html 2.25KB
  140. ~Get Your Files Here !/08 - Interrupts/005 AXI Timer Interrupt P1.mp4 20.20MB
  141. ~Get Your Files Here !/08 - Interrupts/005 AXI Timer Interrupt P1_en.srt 3.56KB
  142. ~Get Your Files Here !/08 - Interrupts/006 AXI Timer Interrupt P2.mp4 125.24MB
  143. ~Get Your Files Here !/08 - Interrupts/006 AXI Timer Interrupt P2_en.srt 18.50KB
  144. ~Get Your Files Here !/08 - Interrupts/007 Code.html 1.68KB
  145. ~Get Your Files Here !/08 - Interrupts/008 Interrupt with WDT P1.mp4 28.85MB
  146. ~Get Your Files Here !/08 - Interrupts/008 Interrupt with WDT P1_en.srt 5.55KB
  147. ~Get Your Files Here !/08 - Interrupts/009 Interrupt with WDT P2.mp4 89.86MB
  148. ~Get Your Files Here !/08 - Interrupts/009 Interrupt with WDT P2_en.srt 14.71KB
  149. ~Get Your Files Here !/08 - Interrupts/010 Code.html 1.77KB
  150. ~Get Your Files Here !/08 - Interrupts/011 Reset with WDT.mp4 27.30MB
  151. ~Get Your Files Here !/08 - Interrupts/011 Reset with WDT_en.srt 4.58KB
  152. ~Get Your Files Here !/08 - Interrupts/012 Code.html 1.71KB
  153. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/001 Agenda.mp4 4.06MB
  154. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/001 Agenda_en.srt 1.88KB
  155. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/002 Create SLAVE AXI LITE Interface P1.mp4 88.97MB
  156. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/002 Create SLAVE AXI LITE Interface P1_en.srt 17.00KB
  157. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/003 Create SLAVE AXI LITE Interface P2.mp4 71.50MB
  158. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/003 Create SLAVE AXI LITE Interface P2_en.srt 10.98KB
  159. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/004 Adding Port to Slave AXI LITE Interface P1.mp4 57.08MB
  160. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/004 Adding Port to Slave AXI LITE Interface P1_en.srt 10.82KB
  161. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/005 Adding Port to Slave AXI LITE Interface P2.mp4 23.36MB
  162. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/005 Adding Port to Slave AXI LITE Interface P2_en.srt 3.05KB
  163. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/006 Adding Port to Slave AXI LITE Interface P3.mp4 42.44MB
  164. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/006 Adding Port to Slave AXI LITE Interface P3_en.srt 6.62KB
  165. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/007 Create AXI Stream Interface P1.mp4 133.19MB
  166. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/007 Create AXI Stream Interface P1_en.srt 28.58KB
  167. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/008 Create AXI Stream Interface P2.mp4 30.55MB
  168. ~Get Your Files Here !/09 - Building Custom AXI Peripherals for Microblaze/008 Create AXI Stream Interface P2_en.srt 5.16KB
  169. ~Get Your Files Here !/Bonus Resources.txt 386B